Journal of Computer and Communications

Volume 4, Issue 14 (November 2016)

ISSN Print: 2327-5219   ISSN Online: 2327-5227

Google-based Impact Factor: 1.12  Citations  

Twist-Routing Algorithm for Faulty Network-on-Chips

HTML  XML Download Download as PDF (Size: 1530KB)  PP. 1-10  
DOI: 10.4236/jcc.2016.414001    1,218 Downloads   2,359 Views  Citations

ABSTRACT

This paper introduces Twist-routing, a new routing algorithm for faulty on-chip networks, which improves Maze-routing, a face-routing based algorithm which uses deflections in routing, and archives full fault coverage and fast packet delivery. To build Twist-routing algorithm, we use bounding circles, which borrows the idea from GOAFR+ routing algorithm for ad-hoc wireless networks. Unlike Maze-routing, whose path length is unbounded even when the optimal path length is fixed, in Twist-routing, the path length is bounded by the cube of the optimal path length. Our evaluations show that Twist-routing algorithm delivers packets up to 35% faster than Maze-routing with a uniform traffic and Erdos-Rényi failure model, when the failure rate and the injection rate vary.

Share and Cite:

Zhang, K. and Moscibroda, T. (2016) Twist-Routing Algorithm for Faulty Network-on-Chips. Journal of Computer and Communications, 4, 1-10. doi: 10.4236/jcc.2016.414001.

Cited by

No relevant information.

Copyright © 2024 by authors and Scientific Research Publishing Inc.

Creative Commons License

This work and the related PDF file are licensed under a Creative Commons Attribution 4.0 International License.