Analysis of 8T SRAM Cell at Various Process Corners at 65 nm Process Technology

Abstract

In Present scenario battery-powered hand-held multimedia systems become popular. The power consumption in these devices is a major concern these days for its long operational life. Although various techniques to reduce the power dissipation has been developed. The most adopted method is to lower the supply voltage. But lowering the Vdd reduces the gate current much more rapidly than the sub-threshold current and degrades the SNM. This degraded SNM further limits the voltage scaling. To improve the stability of the SRAM cell topology of the conventional 6T Static Random Access Memory (SRAM) cell has been changed and revised to 8T and 10T cell, the topologies. This work has analyzed the SRAM’s Static Noise Margin (SNM) at 8T for various process corners at 65nm technology. It evaluates the SNM along with the write margins of the cell along with the cell size of 8T SRAM bit-cell operating in sub-threshold voltage at various process corners. It is observed that an 8T cell has 13 % better write margin than conventional 6T SRAM cell. This paper analyses the dependence of SNM of SRAM memory cell on supply voltage, temperature, transistor sizing in 65nm technology at various process corners (TT, SS, FF, FS, and SF).

Share and Cite:

S. Birla, N. Shukla, K. Rathi, R. Singh and M. Pattanaik, "Analysis of 8T SRAM Cell at Various Process Corners at 65 nm Process Technology," Circuits and Systems, Vol. 2 No. 4, 2011, pp. 326-329. doi: 10.4236/cs.2011.24045.

Conflicts of Interest

The authors declare no conflicts of interest.

References

[1] S. Birla, N. Kr. Shukla, M. Pattanaik and R. K. Singh, “Device and Circuit Design Challenges for Low Leakage SRAM for Ultra Low Power Applications,” Canadian Journal on Electrical & Electronics Engineering, Vol. 1, No. 7, 2010, pp. 156-167.
[2] B. H. Calhoun and A. P. Chandrakasan “Static Noise Margin Variation for Sub-Threshold SRAM in 65 nm CMOS,” IEEE Journal of Solid-State Circuits, Vol. 41, No. 7, 2006, pp. 1673-1679. doi:10.1109/JSSC.2006.873215
[3] Y. Chung and S.-H. Song, “Implementation of Low-Voltage Static RAM with Enhanced Data Stability and Circuit Speed,” Microelec-tronics Journal, Vol. 40, No. 6, 2009, pp. 944-951. doi:10.1016/j.mejo.2008.11.063
[4] N. Hiroki, S. Okumura, Y. Iguchi, et al., “Which Is the Best Dual Port SRAM in 45 nm Process Technology? 8T, 10T Single End and 10T Differential,” IEEE International Conference on Integrated Circuit Design and Technology and Tutorial, Austin, 2-4 June 2008, pp. 55-58.
[5] B. H. Calhoun and A. P. Chandrakasan “A 256-kb 65-nm Sub-Threshold SRAM Design for Ultra-Low-Voltage Operation,” IEEE Journal of Solid-State Circuits, Vol. 42, No. 3, 2007, pp. 680-688. doi:10.1109/JSSC.2006.891726
[6] Koichi Takeda, et al., “A Read Static Noise Margin Free SRAM Cell for Low Vdd and High Speed Applications,” IEEE Journal of Solid-State Circuits, Vol. 41, No. 1, 2006, pp. 113-121. doi:10.1109/JSSC.2005.859030
[7] R. Keerthi and H. Chen, “Stability and Static Noise Margin Analysis of Low Power SRAM,” IEEE International Instrumentation & Measurement Technology Conference, Victoria, May 2008, pp. 1541-1544.

Copyright © 2024 by authors and Scientific Research Publishing Inc.

Creative Commons License

This work and the related PDF file are licensed under a Creative Commons Attribution 4.0 International License.