Transient and Permanent Fault Injection in VHDL Description of Digital Circuits

HTML  XML Download Download as PDF (Size: 530KB)  PP. 192-199  
DOI: 10.4236/cs.2012.32026    7,406 Downloads   12,682 Views  Citations
Author(s)

ABSTRACT

The ability to evaluate the testability of digital circuits before they are actually implemented is critical for designing highly reliable systems. This feature enables designers to verify the fault detection capability of online as well as offline testable digital circuits for both permanent and transient faults, during the design stage of the circuits. This paper presents a technique for transient and permanent fault injection at the VHDL level description of both combinational and sequential digital circuits. Access to all VHDL blocks a system is straight forward using a specially designed single fault injection block. This capability of inserting transient and permanent faults should help in evaluating the testability of a digital system before it is actually implemented.

Share and Cite:

P. Lala, "Transient and Permanent Fault Injection in VHDL Description of Digital Circuits," Circuits and Systems, Vol. 3 No. 2, 2012, pp. 192-199. doi: 10.4236/cs.2012.32026.

Copyright © 2024 by authors and Scientific Research Publishing Inc.

Creative Commons License

This work and the related PDF file are licensed under a Creative Commons Attribution 4.0 International License.